Etching

Etching

Dry Etching

Inductively Coupled Plasma Reactive-Ion Etching (ICP-RIE)

  • High selectivity

  • High Etch rate

  • Low damage

  • High-aspect structures with vertical profiles

  • Smooth sidewall nanopattern etching

  • PZT etching

  • Applications

    • GaAs, AlGaAs, InP, GaN, InGaAs (compound Semiconductors) for VCSEL, LED, optoelectronics, lasers

    • quartz, oxide etching for optical waveguides

    • SiC etching for power devices

    • Metals electrodes Pt, Al, Au etching

RIE (Reactive Ion Etching)

  • Isotropic/ Anisotropic Dry etching

  • Slow etch rate

  • Sacrificial etching of Si, Oxides

  • Cr hard masks

  • Applications:

    • etching of thin films of

      • metals (Au, Al Ti, Pt, etc.)

      • dielectrics (SiO2, SiN)

      • Silicon-based Si, polysilicon, amorphous Si

      • DLC (Diamond-like carbon etching)

    • Photoresist, NIL resist etching, stripping, ashing, descuming, plasma ashing

DRIE (Deep Reactive Ion Etching)

  • 35:1 aspect ratio etching

  • High-rate dry etching of Silicon with the Bosch Process

  • Sidewall angle close to 90°

  • SiO2 masks

  • Suitable for TSV (Through-Silicon-Via)

Wet Etching

  • KOH or TMAH etching

  • Si, Al, ITO, Au, Cr, Ni, Ti, Cu, etc.

  • BOE (Buffered Oxide Etch)

Inquiry